Arrex 2018 fs : Différence entre versions

De troyesGEII
Aller à : navigation, rechercher
Ligne 53 : Ligne 53 :
 
=={{Bleu|La carte "capteur"}}==
 
=={{Bleu|La carte "capteur"}}==
  
Sa forme est imposée ainsi que l'emplacement et l'implantation des connecteurs<br>
+
- Sa forme est imposée ainsi que l'emplacement et l'implantation des connecteurs<br>
  
Elle sera alimentée en 3,3V<br>
+
- Elle sera alimentée en 3,3V<br>
  
Elle supportera le(s) capteur(s) et les connecteurs destinés à transmettre les informations à la carte "gestion",<br>
+
- Elle supportera le(s) capteur(s) et les connecteurs destinés à transmettre les informations à la carte "gestion",<br>
  
Il faudra prévoir un étage permettant de mettre en forme le signal issu de chaque capteur. On utilisera pour cela un comparateur dont le seuil de basculement sera variable pour s'adapter à l'environnement (couleur du sol, couleur de la piste, luminosité...)<br>
+
- Il faudra prévoir un étage permettant de mettre en forme le signal issu de chaque capteur. On utilisera pour cela un comparateur dont le seuil de basculement sera variable pour s'adapter à l'environnement (couleur du sol, couleur de la piste, luminosité...)<br>
  
  
Ligne 67 : Ligne 67 :
 
=={{Bleu|La carte "gestion"}}==
 
=={{Bleu|La carte "gestion"}}==
  
Elle supporte le CPLD  
+
Elle supportera : <br>
4060
+
 
connecteur de programmation  
+
- le CPLD (EPM3064ALC44-10N) qui doit être alimenté en 3,3V<br>
régulateur
+
 
sélection de fréquence
+
- un oscillateur à quartz (quartz horloger à la fréquence de 32,788 kHz) et d'un diviseur de type 4060. Cet oscillateur fournira deux fréquences : du 32,788 kHz destiné à la Modulation de Largeur d'Impulsion (MLI) et une autre fréquence à définir, comprise entre 100Hz et 20 kHz, destinée à servir d'horloge pour le CPLD<br>
 +
 
 +
- un connecteur destiné à la programmation du CPLD<br>
 +
 
 +
 
 
transistors : NMOS 30V/5A : SI2336DS , sur eagle : BSS123
 
transistors : NMOS 30V/5A : SI2336DS , sur eagle : BSS123
 
Diode schottky : CGRM4001-G boitier SMA
 
Diode schottky : CGRM4001-G boitier SMA
Ligne 95 : Ligne 99 :
  
 
- Sur le régulateur 3V3, il faut raccorder un condensateur de 100nF sur la broche BP , <br>
 
- Sur le régulateur 3V3, il faut raccorder un condensateur de 100nF sur la broche BP , <br>
 
 
 
 
 
 
 
 
 
=={{Bleu|Présentation du système global}}==
 
 
On dispose d'une voiture radiocommandée pour laquelle nous souhaitons remplacer la télécommande. Ceci impose automatiquement de remplacer la partie réception (sauf à faire du [https://fr.wikipedia.org/wiki/R%C3%A9tro-ing%C3%A9nierie reverse ingeenering] sur le protocole existant). Il y a ainsi une télécommande à concevoir complètement et une partie réception qui sera destinée à envoyer les ordres reçus à la partie puissance de la voiture.
 
 
Le système a concevoir doit permettre de réaliser les fonctions suivantes :
 
*Transmettre une consigne de vitesse
 
*Transmettre une consigne de direction
 
*Calibrer l'axe de direction : il faut qu'un zéro en direction fasse une ligne droite
 
*Assurer une sécurité de la voiture en cas de perte du signal de la télécommande
 
*Visualiser le niveau de charge de la voiture sur la télécommande à l'aide de trois leds de couleurs
 
 
La transmission sans fil utilisera des modules Xbee série 1, qu'il conviendra de configurer de façon adaptée.
 
 
Les cartes à réaliser s'articuleront  autour du processeur attiny 841 et d'un module xbee.
 
 
=={{Bleu|Présentation de la télécommande}}==
 
La partie émetteur sera alimentée complètement en 3,3V qui sera réalisée à partie d'une alimentation sur batterie constituée de 4 accumulateurs AA.
 
 
Prévoir le connecteur ISP pour la programmation du processeur
 
 
=={{Bleu|Présentation de la partie réception}}==
 
La partie réception sera alimentée en deux tensions différentes :
 
* 3,3V obligatoire pour le module XBee
 
* 5V pour le microcontrôleur
 
Le 5V est tiré de la partie puissance mais le 3,3V devra être réalisé avec un régulateur adapté.
 
 
Prévoir le connecteur ISP pour la programmation du processeur. Vous utiliserez, comme Atmel le préconise, le connecteur ISP à 6 broches.
 
 
={{Rouge|Étude et réalisation du projet Télécommande}}=
 
=={{Bleu|Étude}}==
 
Les études de chacune des fonctions se feront sur plaque à essais. Nous vous présentons l'ensemble des fonctions à réaliser à l'aide d'un schéma de principe.
 
[[File:Telecommande2.png|thumb|700px|center]]
 
 
*Les commandes manuelles seront réalisées à l'aide d'un joystick analogique :
 
** un joystick sera utilisé de droite à gauche pour définir la direction de déplacement
 
** un autre joystick sera utilisé d'avant en arrière pour choisir la vitesse de déplacement
 
*Une alimentation 3,3V est nécessaire pour le XBee et l'ATtiny841
 
*La partie centrale est un ATtiny841 qui sera alimenté en 3,3V
 
**Il devra recevoir les informations du joystick et les envoyer au XBee
 
**Il devra permettre la calibration de la direction à l'aide du joystick de direction et de la commande manuelle de validation
 
**Il élaborera à partir de la tension batterie reçue un affichage sur 3 leds (signaux visuels sur le schéma)
 
 
=={{Bleu|Saisie schématique}}==
 
[[Fichier:Avr isp.jpg|vignette]]
 
Chaque étude validée sur plaque à essais sera aussitôt traduite en schématique. La réalisation du schéma se fera donc au fur et à mesure de l'avancement de la validation de vos fonctions.
 
 
N'oubliez pas de prévoir le connecteur pour le XBee qui a un pas très particulier.
 
 
En ce qui concerne l'ICSP, [http://forum.arduino.cc/index.php?topic=500875.0 le forum Arduino] indique qu'il faut prendre PA4/PA5/PA6 pour MISO/MOSI/SCK sur l'ATTiny841 ('''ATTENTION''' : il y a deux MISO/MOSI/SCK sur l'ATTiny841 !).
 
 
=={{Bleu|Routage et boards}}==
 
Vous avez tout validé, le schéma est terminé, il faut respecter les règles de routage :
 
*pistes larges
 
*pistes espacées
 
*pistes courtes
 
*Via : 0.8 pour les trous et 1,25 pour le diamètre externe
 
*N'hésitez pas à ajouter du texte sur vos cartes. Le minimum et bien sûr BOT XXX et TOP XXX pour du double face avec XXX remplacé par votre nom.
 
*Les connecteurs aussi doivent être renseignés par du texte. '''Vous devez être capable de réutiliser votre carte dans 6 mois !'''
 
 
=={{Bleu|Réalisation du calque}}==
 
Exports sous forme d'images :
 
#fond en blanc : Options -> user interface -> layout
 
#lancer ulp -> drill-aid vous propose Drill center diameter 0,3mm et c'est OK. Le remplissage se fait en couche 116 mais avec des hachures.
 
#Choisir cette couche 116 puis change -> Fillstyle en plein
 
#Choisir les couches Bottom (bleu) Pads vias et la 116
 
#File -> export -> Image donner un nom et choisir 1200 DPI et monochrome
 
#Idem pour Top (rouge) avec pads et vias (et éventuellement la 116)
 
 
={{Rouge|Étude et réalisation du projet Réception}}=
 
=={{Bleu|Étude}}==
 
Les études de chacune des fonctions se feront sur plaque à essais. Nous vous présentons l'ensemble des fonctions à réaliser à l'aide d'un schéma de principe.
 
 
 
={{Rouge|Composants utilisés}}=
 
 
{| class="wikitable sortable"
 
|-
 
! Nom !! Type !! Boîtier !! Librairie Eagle !! Référence eagle !! Documentation !! Fournisseur !! Référence
 
|-
 
| CNY70 || capteur IR ||  || [[media:bt_avr.lbr|bt_avr]]  ||  || [https://www.vishay.com/docs/83751/cny70.pdf CNY70 datasheet] || Farnell ||
 
|-
 
| MCP4017T || potentiomètre 100k|| CMS || [[media:Digital_potenciometrs.lbr|Digital_potenciometrs.lbr]] ||  ||  ||  ||
 
|-
 
| ISP || barrette mâle sécable|| traversant || con-lstb || MA03-2 ||  ||  ||
 
|}
 
 
={{Rouge|Ressources}}=
 
 
*[[Media:arexxCarteCapteurBase.sch]]
 
*[[Media:arexxCarteCapteurBase.brd]]
 

Version du 16 avril 2018 à 17:10

Notre objectif dans ce projet est de réaliser un robot mobile capable de suivre une ligne. Sa particularité est qu'il sera commandé à l'aide d'un composant en logique programmable plutôt qu'un microcontrôleur.

Cahier des charges, fonctionnement :

Le robot sera construit sur la base d'un châssis ARREX (lien : http://www.arexx.nl/arexx.php?cmd=goto&cparam=p_robot_chassis). Ce châssis comporte :

- deux roues motrices et une roue folle. Chaque roue motrice est commandée par un moteur à courant continu (disposés lui aussi sur le châssis). Pour faire virer le robot, il faudra donc faire varier la vitesse d'une roue par rapport à l'autre,

- un support de piles destiné à recevoir les batteries qui apporteront l'énergie au robot

- un interrupteur permettant de mettre le robot sous tension

- des connecteurs qui permettent de véhiculer des signaux électriques entre les différents PCB (cartes électroniques)


Sur ce châssis, viendront se connecter deux cartes électroniques (PCB) :

- la "carte capteur" qui recevra le(s) capteur(s) destiné(s) à détecter la ligne à suivre,

- la "carte gestion" qui recevra le CPLD renfermant la logique de commande,


Votre travail durera sur le 2ème semestre et l'intersemestre dans le cadre des modules de "projet tuteuré" (2ème semestre) et du module d'Etudes et Réalisations (Intersemestre) . Il s'effectuera en groupe et consistera à :

- définir la structure des cartes "capteur" et "gestion" et la faire valider par votre tuteur,

- réaliser les cartes électroniques,

- assembler le robot,

- programmer le CPLD,

- effectuer les tests et valider le fonctionnement du robot.


Les tests feront l'objet d'un challenge qui aura lieu dans le hall de l'IUT le mardi 26 juin 2018.

Ce challenge proposera plusieurs épreuves destinées à évaluer la capacité des robots. Les épreuves seront les suivantes :

- un déplacement en ligne droite (sans suivre de ligne) avec arrêt du robot lors du franchissement d'une ligne d'arrivée,

- réalisation de deux tours du robot sur lui-même avec arrêt au franchissement d'une ligne,

- déplacement du robot sur un parcours déterminé à l'aide d'une télécommande (voir explications dans le paragraphe "contraintes techniques"),

- déplacement autonome du robot sur un parcours défini par une ligne (suiveur de ligne),


Contraintes techniques :

Certaines solutions vous sont imposées, notamment en fonction des composants disponibles en magasin. Vous serez donc tenu de respecter les contraintes suivantes:

La carte "capteur"

- Sa forme est imposée ainsi que l'emplacement et l'implantation des connecteurs

- Elle sera alimentée en 3,3V

- Elle supportera le(s) capteur(s) et les connecteurs destinés à transmettre les informations à la carte "gestion",

- Il faudra prévoir un étage permettant de mettre en forme le signal issu de chaque capteur. On utilisera pour cela un comparateur dont le seuil de basculement sera variable pour s'adapter à l'environnement (couleur du sol, couleur de la piste, luminosité...)


courant Moteur : 400 mA , rotor bloqué , 50 à 60 mA à vide.

La carte "gestion"

Elle supportera :

- le CPLD (EPM3064ALC44-10N) qui doit être alimenté en 3,3V

- un oscillateur à quartz (quartz horloger à la fréquence de 32,788 kHz) et d'un diviseur de type 4060. Cet oscillateur fournira deux fréquences : du 32,788 kHz destiné à la Modulation de Largeur d'Impulsion (MLI) et une autre fréquence à définir, comprise entre 100Hz et 20 kHz, destinée à servir d'horloge pour le CPLD

- un connecteur destiné à la programmation du CPLD


transistors : NMOS 30V/5A : SI2336DS , sur eagle : BSS123 Diode schottky : CGRM4001-G boitier SMA


La Télécommande:

La télécommande sera réalisée à partir de modules Xbee qui assureront une liaison série sans fil. L'un d'eux sera utilisé en émetteur à partir d'une télécommande (qu'il faudra réaliser) et l'autre en récepteur (il sera implanté sur le robot). Ces modules se configurent à l'aide du logiciel XCTU. Ils seront utilisés en mode "recopie des entrées" afin que les informations de direction envoyées par la télécommande soient directement transmises au robot.


Assemblage :

- les cartes "gestions", "châssis" et "capteur" seront superposées et reliées entre elles par des connecteurs

- la position et l'implantation des connecteurs sur le PCB qui se trouve sur le châssis vous imposeront de respecter la même implantation et les mêmes connexions sur les cartes "gestions" et "capteur"

-

Routage :

- Sur le régulateur 3V3, il faut raccorder un condensateur de 100nF sur la broche BP ,