Cours:TP M1102 TP 2 Corr

De troyesGEII
Révision datée du 24 septembre 2020 à 15:24 par SergeMoutou (discussion | contributions) (Exercice 1 : Multiplieur de deux nombres de 2 bits)
Aller à : navigation, rechercher

Il s’agit d’une page protégée.

TP2

Exercice 1 : Multiplieur de deux nombres de 2 bits

Si X est un nombre sur 2 bits (X1,X0)2, Y est un nombre sur 2 bits (Y1,Y0)2 alors le réultat Z est un nombre sur 4 bits (Z3,Z2,Z1,Z0)2. En effet, X et Y qui sont donc sur 2 bits peuvent varier entre 0 et 3 et donc leur produit Z entre 0 et 9 ce qui est un nombre sur 4 bits.

Table de vérité
Entrées Sorties Résultat numérique
X Y Z Z = X x Y
X1 X0 Y1 Y0 Z3 Z2 Z1 Z0
0 0 0 0 0 0 0 0 0 = 0 x 0
0 0 0 1 0 0 0 0 0 = 0 x 1
0 0 1 0 0 0 0 0 0 = 0 x 2
0 0 1 1 0 0 0 0 0 = 0 x 3
0 1 0 0 0 0 0 0 0 = 1 x 0
0 1 0 1 0 0 0 1 1 = 1 x 1
0 1 1 0 0 0 1 0 2 = 1 x 2
0 1 1 1 0 0 1 1 3 = 1 x 3
1 0 0 0 0 0 0 0 0 = 2 x 0
1 0 0 1 0 0 1 0 2 = 2 x 1
1 0 1 0 0 1 0 0 4 = 2 x 2
1 0 1 1 0 1 1 0 6 = 2 x 3
1 1 0 0 0 0 0 0 0 = 3 x 0
1 1 0 1 0 0 1 1 3 = 3 x 1
1 1 1 0 0 1 1 0 6 = 3 x 2
1 1 1 1 1 0 0 1 9= 3 x 3

Exercice 2 : Transcodeur binaire 7 segments

Si l'on vous demande de lire attentivement la première ligne de la table de vérité, c'est simplement pour vous faire constater qu'un zéro se fait en éteignant le segment 'g' et que donc pour éteindre un segment il faut lui mettre un 1 logique ce qui permet de déduire que pour allumer un segment il faut mettre un 0 logique.

Table de vérité
Entrées Sorties
sw3 sw2 sw1 sw0 g f e d c b a
0 0 0 0 1 0 0 0 0 0 0
0 0 0 1 1 1 1 1 0 0 1
0 0 1 0 0 1 0 0 1 0 0
0 0 1 1 0 1 1 0 0 0 0
0 1 0 0 0 0 1 1 0 0 1
0 1 0 1 0 0 1 0 0 1 0
0 1 1 0 0 0 0 0 0 1 0
0 1 1 1 1 1 1 1 0 0 0
1 0 0 0 0 0 0 0 0 0 0
1 0 0 1 0 0 1 0 0 0 0
1 0 1 0 0 0 0 1 0 0 0
1 0 1 1 0 0 0 0 0 1 1
1 1 0 0 1 0 0 0 1 1 0
1 1 0 1 0 1 0 0 0 0 1
1 1 1 0 0 0 0 0 1 1 0
1 1 1 1 0 0 0 1 1 1 0

Et voici un programme VHDL de correction :

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
ENTITY transcod7segs IS PORT(
  sw : in std_logic_vector(3 downto 0);
  s7segs : out std_logic_vector(6 downto 0));
END transcod7segs;
ARCHITECTURE arch of transcod7segs IS 
BEGIN
  with sw select
             --gfedcba
    s7segs <= "1000000" when "0000",
              "1111001" when "0001",
              "0100100" when "0010",
              "0110000" when "0011",
              "0011001" when "0100",
              "0010010" when "0101",
              "0000010" when "0110",
              "1111000" when "0111",
              "0000000" when "1000",
              "0010000" when "1001",
              "0001000" when "1010",
              "0000011" when "1011",
              "1000110" when "1100",
              "0100001" when "1101",
              "0000110" when "1110",
              "0001110" when others;
END;