Cours:SaeCpld : Différence entre versions

De troyesGEII
Aller à : navigation, rechercher
 
(42 révisions intermédiaires par 2 utilisateurs non affichées)
Ligne 1 : Ligne 1 :
<accesscontrol>Acces:Prof</accesscontrol>
 
  
=organisation=
+
=Modalité d'évaluation=
*trinôme
+
 
*travail individuel
+
 
 +
=Organisation des travaux=
 +
 
 +
*Le travail sera mené par trinôme. Vous devrez organiser vos travaux de manière à ce que chacun soit actif pour faire avancer le projet
 +
*Il y aura une partie individuelle
 +
*puis 1 travail de regroupement du travail
 +
 
 +
 
  
 
=Calendrier indicatif=
 
=Calendrier indicatif=
*routage terminé au plus tard mardi midi
 
*fabrication terminée/vérifiée mercredi soir
 
  
 
=Présentation du projet=
 
=Présentation du projet=
* Introduction-description
 
Vous allez réaliser un robot assurant diverses missions du type ''suiveur de ligne'', ''évitement d'obstacle'', ... Ce robot est basé sur le chassis en PLA ( impression 3D à l'IUT) , tel que vous avez eu l'occasion d'en utiliser en SAE de S2. Le chassis vous est fourni assemblé avec ses éléments mécaniques moteurs CC - roues, son électronique de puissance pour commander les moteurs ( Cartes Cytron MD13S ) et sa batterie au lithium associée à une carte d'alimentation ( conversion DC-DC ). Par ailleurs, une carte dotée d'un circuit logique programmable a été préparée. Elle permettra d'exploiter les informations issues de divers capteurs pour piloter les moteurs et ainsi mener à bien les missions qui vous sont proposées. Cette carte est dotée :
 
  
d'un CPLD Altera de la famille MAX 3000A de référence EPM3064ALCA44-10n ;
+
Vous allez réaliser la commande d'un moteur brushless (moteur synchrone à aimant permanent)
 +
 
 +
[[Image:SaeMoteurSynchroneSchemaFonctionnel.png|600px]]
 +
 
 +
=Tâches à réaliser=
 +
 
 +
==obtenir la position du rotor==
 +
 
 +
==interface utilisateur==
 +
 
 +
On cherche à mettre en place une interface utilisateur pour faciliter le développement de l'application. Pour cela, on dispose sur la carte DE10-Lite :
 +
* des 6 afficheurs 7 segments et des 10 leds de la carte DE10 pour la visualisation
 +
* des 10 switch et des 2 boutons poussoirs pour positionner des entrées
  
d'un connecteur Harting HE10 permettant d'y brancher le programmateur de circuit logique programmable USB-BLASTER II ;
+
Une autre approche possible est la mise en oeuvre d'un afficheur à cristaux liquides ( Liquid Crystal Display) 2 lignes de 20 caractères.
  
• d'un quartz horloger à 32768Hz comme signal d'horloge de référence. Il est associé à un compteur (4060) pour disposer d'une horloge sous-multiple de cette fréquence de référence si besoin. On sélectionnera l'une de ces fréquences disponibles pour alimenter le CPLD en réalisant un court-circuit ( à voir par la suite ci-dessous ? );
+
==génération des PWMs==
 +
On utilisera dans un 1er temps la carte DE10-Lite exploitée lors des TPs XR3.16. Le pdf de la documentation de la carte est fournie en ressources ci-dessous pour disposer des brochages des différents matériels ( switch, boutons poussoir, leds, afficheurs 7 segments, connecteur IDE40, empreinte shield arduino ... )
  
• 2 connecteurs : barettes mâles double rangée de 12 broches au pas de 0,1 inch (pouce), broches associées à des entrées/sorties configurables du CPLD ( GPIOs) ;
+
===module PWM===
  
1 connecteur mâle 2 broches pour alimenter le CPLD. NB !!! On notera que le CPLD a besoin d'une alimentation en 3,3V exclusivement !!! ;
+
créer un module PWM qui aura :
 +
*en entrées:
 +
**clk : horloge à 50MHz
 +
**rcyclique : integer 0 à 1023
 +
**enable : bit
 +
*2 sorties :
 +
**tH : bit qui pilotera le transistor du haut du 1/2 pont
 +
**tL : bit qui pilotera le transistor du bas du 1/2 pont
 +
*description du fonctionnement
 +
**les changements d'état des sorties se feront uniquement sur un front montant de l'horloge
 +
**un compteur modulo 1024 s'incrémente sur chaque front d'horloge
 +
**si enable=0 alors les sorties sont à 0
 +
**si enable=1 alors :
 +
***si compteur<rcylique tH=1 et tL=0
 +
***si compteur>rcyclique tH=0 et tL=1
  
• la liste des broches du CPLD associées aux connecteurs est accessible via les fichiers ( schéma et board de la carte ) . Ces fichiers sont accessibles sur le wiki geii rubrique SAE CPLD. On prêtera une attention particulière à ne paas confondre les numéros de GPIO avec les numéros de broche/borne du composant pour l'usage de PinPlanner. PinPlanner requiert les numéro de broche/borne. Le tableau ci-dessous récapitule ces informations : numéro de borne du CPLD Versus numéro de borne des connecteurs mâles 2x12 broches
 
  
{| class="wikitable"
+
{{Question|vérifier le fonctionnement en utilisant des switch pour modifier le rapport cyclique}}
|-
 
! J2 !! Borne CPLD !! J2 !! Borne CPLD !! J3 !! Borne CPLD !! J3 !! Borne CPLD
 
|-
 
| 1 || 40 || 2 || 41 || 1 || 5 || 2 || 6
 
|-
 
| 3 || NC || 4 || 39 || 3 || 9 || 4 || 8
 
|-
 
| 5 || 34 || 6 || 25 || 5 || 12 || 6 || 11
 
|-
 
| 7 || 33 || 8 || 26 || 7 || 21 || 8 || 14
 
|-
 
| 9 || 31 || 10 || 27 || 9 || 20 || 10 || 16
 
|-
 
| 11 || 29 || 12 || 28 || 11 || 19 || 12 || 18
 
|}
 
  
• Le CPLD reçoit potentiellement 2 signaux d'horloges. L'horloge de référence à 32768~Hz issu du quartz, sur la borne CPLD 2 ( input/oe2/Gclk2). Une horloge issue du 4060 ( sous-ultiple en puissance de 2 des 32768 Hz de référence ) , sur la borne CPLD 43 ( input/Gclk1) . Ces 2 broches sont dédiées à la collecte des signaux d'horloge de manière à diffuser efficacement les horloges au coeud du circuit.
+
===Incrémentation automatique du rapport cyclique===
  
Le schéma fonctionnel de l'application complète est donné ci-dessous
+
On ajoute un module qui incrémentera régulièrement la valeur du rapport cyclique.
  
 +
créer un module :
 +
*avec 1 entrée d'horloge à 50MHz
 +
*1 sortie de type entier entre 0 et 1023
 +
*incrémente le rapport cyclique tous les 50000 fronts d'horloge
  
=Analyse=
+
{{Question|ajouter un filtre passe bas sur votre/vos sorties et vérifier le bon fonctionnement}}
  
*schéma fonctionnel de chaque carte
+
=== Modification automatique du rapport cyclique : via valeurs lues en mémoire ===
*schéma des fonctions logiques et chronogrammes éventuels
 
  
 +
On s'appuiera sur le CM et les TPs de XR3.16 pour mener à bien cette partie description/utilisation de mémoire.
  
=Objectif/challenge=
+
* Mémoire :
 +
** Créer un module mémoire ROM à 1 Port de 128 mots de 10 bits ( une LPM_ROM depuis l'ip_catalog ou un type array en VHDL )
 +
** Initialiser le contenu de la mémoire (fichier .mif ou initialisation du tableau en VHDL) avec des valeurs régulièrement croissantes puis décroissantes entre 0 et 1023, l'enchaînement de ces valeurs numériques décrivant ainsi l'équivalent d'un signal triangulaire.
  
*Epreuve 1
+
* Compteur : réaliser un compteur modulo 128 s'incrémentant de 1 tous les 50000 fronts d'horloge ( horloge à 50MHz) . On pourra éventuellement décomposer cela en 2 fonctions logiques
**le robot est placé dans la zone de départ (zone rouge)
+
** préparer un signal d'horloge à 1KHz ( 1 front montant tous les 50000 fronts d'horloge de l'entrée à 50MHz )
**un dispositif (différent de la mise sous tension) permet de démarrer la mission
+
** réaliser un compteur modulo 128 à cette fréquence de 1KHz dont on utilisera la valeur pour adresser la mémoire.
**le robot doit avancer en "ligne droite"
 
**dès que la ligne noire matérialisant la ligne d'arrivée il doit :
 
***s'arrêter dès que possible sans dépasser la ligne verte
 
***ne surtout pas redémarrer
 
**le temps mis donnera le classement
 
*Epreuve 2
 
**le robot est placé devant la ligne noire (l'arrière du robot contre la ligne)
 
**le robot doit tourner autour du cercle jaune (sans franchir à aucun moment les lignes jaunes)
 
**mission 2A:
 
***il faut faire uniquement un tour
 
***s'arrêter une fois la ligne franchie
 
***le temps mis pour faire le tour donne le classement
 
**mission 2B:
 
***il faut faire 3 tours puis s'arrêter
 
***le temps mis pour faire les 3 tours donne le classement
 
  
 +
* Test : implanter les 3 modules précédents
 +
** compteur modulo 128 à 1Khz
 +
** mémoire 128 mots de 10 bits adressée par le compteur
 +
** module PWM dont le rapport cyclique ( sur 10 bits) est issu de la lecture de la mémoire
  
**robot qui avance en ligne droite
+
{{Question|ajouter un filtre passe bas sur votre/vos sorties et vérifier le bon fonctionnement}}
**il ralentit dès qu'un obstacle est détecté (selon le capteur 50 cm)
+
 
**il s'arrête à 15 cm de l'obstacle
+
 
**classement suivant l'écart mesuré par rapport à l'obstacle
+
=== Modification/Evolution sinusoïdale du rapport cyclique ===
**durée maximum à définir
+
 
*Epreuve 3
+
* Préparation
**suivi de ligne
+
** Exploiter un tableur (excel / Libreoffice ) pour calculer les valeurs de ( 1 + sin(x) ). Avec x prenant 128 valeurs régulièrement réparties dans les 360 degrés d'une période de sinus.
**classement en fonction :
+
** Compléter votre tableau par une colonne calculant la partie entière de 512 * ( 1+ sin(x) ).
***de la longueur de piste suivie
+
** Vérifier que vos valeurs sont bien situées dans la plage [0;2]. Le cas échéant, biaiser la valeur 0 à 1 et la valeur 2 à 1,99 . Cette modification a pour but d'éviter de produire une PWM totalement à 0 ou totalement à 1.
***du temps mis
+
 
*Epreuve 4
+
* Test : reprendre le test précédent avec la mémoire initialisée avec les valeurs de cette dernière colonne calculée dans votre tableur.
 +
 
 +
 
 +
{{Question|ajouter un filtre passe bas sur votre/vos sorties et vérifier le bon fonctionnement}}
  
 
=Liens/Ressources=
 
=Liens/Ressources=
 +
*[[Media:DE10-Lite_User_Manual.pdf]]
 +
*[[Media:Vcnt2020.zip]]
 +
*[[Media:CarteFourcheOptique.zip]]
 +
*[[Media:CarteMos.zip]]
 +
*[[Media:ressource_lcd2x20.zip]]
 +
*[[Media:binbcd5.zip]]
 +
 +
 +
 +
=Exemples=
 +
 +
<source lang=vhdl>
 +
library IEEE;
 +
use IEEE.std_logic_1164.all;
 +
use IEEE.std_logic_unsigned.all;
 +
 +
entity tabSinus is
 +
port (clk :in  std_logic;
 +
      angle : in integer range 0 to 4;
 +
      sin : out integer range 0 to 7
 +
      );
 +
end tabSinus;
 +
 +
architecture Behavioral of tabSinus is
 +
type memory_type is array (0 to 4) of integer range 0 to 7;
 +
 +
signal tabsin : memory_type :=(0, 2, 4, 6, 7);
  
==Capteur de distance==
+
begin
  
*https://www.pololu.com/product/4064
+
process(clk)
 +
begin
 +
  --to check the rising edge of the clock signal
 +
if(rising_edge(clk)) then   
 +
sin <= tabsin(angle);
 +
end if;
 +
end process;
  
 +
end Behavioral;
 +
</source>
  
=historique a supprimer=
+
=Historique=
*[[Cours:RobotArexxCPLD]]
+
*[[Cours:SaeCpldHistorique]]

Version actuelle datée du 10 janvier 2024 à 12:08

Modalité d'évaluation

Organisation des travaux

  • Le travail sera mené par trinôme. Vous devrez organiser vos travaux de manière à ce que chacun soit actif pour faire avancer le projet
  • Il y aura une partie individuelle
  • puis 1 travail de regroupement du travail


Calendrier indicatif

Présentation du projet

Vous allez réaliser la commande d'un moteur brushless (moteur synchrone à aimant permanent)

SaeMoteurSynchroneSchemaFonctionnel.png

Tâches à réaliser

obtenir la position du rotor

interface utilisateur

On cherche à mettre en place une interface utilisateur pour faciliter le développement de l'application. Pour cela, on dispose sur la carte DE10-Lite :

  • des 6 afficheurs 7 segments et des 10 leds de la carte DE10 pour la visualisation
  • des 10 switch et des 2 boutons poussoirs pour positionner des entrées

Une autre approche possible est la mise en oeuvre d'un afficheur à cristaux liquides ( Liquid Crystal Display) 2 lignes de 20 caractères.

génération des PWMs

On utilisera dans un 1er temps la carte DE10-Lite exploitée lors des TPs XR3.16. Le pdf de la documentation de la carte est fournie en ressources ci-dessous pour disposer des brochages des différents matériels ( switch, boutons poussoir, leds, afficheurs 7 segments, connecteur IDE40, empreinte shield arduino ... )

module PWM

créer un module PWM qui aura :

  • en entrées:
    • clk : horloge à 50MHz
    • rcyclique : integer 0 à 1023
    • enable : bit
  • 2 sorties :
    • tH : bit qui pilotera le transistor du haut du 1/2 pont
    • tL : bit qui pilotera le transistor du bas du 1/2 pont
  • description du fonctionnement
    • les changements d'état des sorties se feront uniquement sur un front montant de l'horloge
    • un compteur modulo 1024 s'incrémente sur chaque front d'horloge
    • si enable=0 alors les sorties sont à 0
    • si enable=1 alors :
      • si compteur<rcylique tH=1 et tL=0
      • si compteur>rcyclique tH=0 et tL=1


Question.jpg vérifier le fonctionnement en utilisant des switch pour modifier le rapport cyclique

Incrémentation automatique du rapport cyclique

On ajoute un module qui incrémentera régulièrement la valeur du rapport cyclique.

créer un module :

  • avec 1 entrée d'horloge à 50MHz
  • 1 sortie de type entier entre 0 et 1023
  • incrémente le rapport cyclique tous les 50000 fronts d'horloge

Question.jpg ajouter un filtre passe bas sur votre/vos sorties et vérifier le bon fonctionnement

Modification automatique du rapport cyclique : via valeurs lues en mémoire

On s'appuiera sur le CM et les TPs de XR3.16 pour mener à bien cette partie description/utilisation de mémoire.

  • Mémoire :
    • Créer un module mémoire ROM à 1 Port de 128 mots de 10 bits ( une LPM_ROM depuis l'ip_catalog ou un type array en VHDL )
    • Initialiser le contenu de la mémoire (fichier .mif ou initialisation du tableau en VHDL) avec des valeurs régulièrement croissantes puis décroissantes entre 0 et 1023, l'enchaînement de ces valeurs numériques décrivant ainsi l'équivalent d'un signal triangulaire.
  • Compteur : réaliser un compteur modulo 128 s'incrémentant de 1 tous les 50000 fronts d'horloge ( horloge à 50MHz) . On pourra éventuellement décomposer cela en 2 fonctions logiques
    • préparer un signal d'horloge à 1KHz ( 1 front montant tous les 50000 fronts d'horloge de l'entrée à 50MHz )
    • réaliser un compteur modulo 128 à cette fréquence de 1KHz dont on utilisera la valeur pour adresser la mémoire.
  • Test : implanter les 3 modules précédents
    • compteur modulo 128 à 1Khz
    • mémoire 128 mots de 10 bits adressée par le compteur
    • module PWM dont le rapport cyclique ( sur 10 bits) est issu de la lecture de la mémoire

Question.jpg ajouter un filtre passe bas sur votre/vos sorties et vérifier le bon fonctionnement


Modification/Evolution sinusoïdale du rapport cyclique

  • Préparation
    • Exploiter un tableur (excel / Libreoffice ) pour calculer les valeurs de ( 1 + sin(x) ). Avec x prenant 128 valeurs régulièrement réparties dans les 360 degrés d'une période de sinus.
    • Compléter votre tableau par une colonne calculant la partie entière de 512 * ( 1+ sin(x) ).
    • Vérifier que vos valeurs sont bien situées dans la plage [0;2]. Le cas échéant, biaiser la valeur 0 à 1 et la valeur 2 à 1,99 . Cette modification a pour but d'éviter de produire une PWM totalement à 0 ou totalement à 1.
  • Test : reprendre le test précédent avec la mémoire initialisée avec les valeurs de cette dernière colonne calculée dans votre tableur.


Question.jpg ajouter un filtre passe bas sur votre/vos sorties et vérifier le bon fonctionnement

Liens/Ressources


Exemples

library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;

entity tabSinus is
port (clk :in  std_logic;
      angle : in integer range 0 to 4;
      sin : out integer range 0 to 7
      );
end tabSinus;

architecture Behavioral of tabSinus is
type memory_type is array (0 to 4) of integer range 0 to 7;

signal tabsin : memory_type :=(0, 2, 4, 6, 7);

begin

process(clk)
begin
  --to check the rising edge of the clock signal
if(rising_edge(clk)) then    
sin <= tabsin(angle);
end if;
end process;

end Behavioral;

Historique